+another compile failure
This commit is contained in:
parent
f44386b6b8
commit
b998c0adbb
9 changed files with 19016 additions and 0 deletions
0
netpfga/log/compile-2019-07-23-102233
Normal file
0
netpfga/log/compile-2019-07-23-102233
Normal file
27
netpfga/log/compile-2019-07-23-122831
Normal file
27
netpfga/log/compile-2019-07-23-122831
Normal file
File diff suppressed because one or more lines are too long
27
netpfga/log/compile-2019-07-23-122936
Normal file
27
netpfga/log/compile-2019-07-23-122936
Normal file
|
@ -0,0 +1,27 @@
|
|||
+ date
|
||||
Die Jul 23 12:29:36 CEST 2019
|
||||
+ cd /home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4
|
||||
+ make
|
||||
make -C src/ clean
|
||||
make[1]: Entering directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/src'
|
||||
rm -f *.sdnet *.tbl .sdnet_switch_info.dat
|
||||
make[1]: Leaving directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/src'
|
||||
make -C testdata/ clean
|
||||
make[1]: Entering directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/testdata'
|
||||
rm -f *.pcap *.txt *.pyc *.axi config_writes.* *_reg_defines.py
|
||||
make[1]: Leaving directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/testdata'
|
||||
rm -rf nf_sume_sdnet_ip/
|
||||
rm -f
|
||||
rm -f sw/config_tables.c
|
||||
make -C src/
|
||||
make[1]: Entering directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/src'
|
||||
p4c-sdnet -o minip4.sdnet --sdnet_info .sdnet_switch_info.dat minip4_solution.p4
|
||||
minip4_solution.p4(59):syntax error, unexpected IDENTIFIER, expecting STATE
|
||||
digest_data
|
||||
^^^^^^^^^^^
|
||||
error: 1 errors encountered, aborting compilation
|
||||
Makefile:34: recipe for target 'all' failed
|
||||
make[1]: *** [all] Error 1
|
||||
make[1]: Leaving directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/src'
|
||||
Makefile:31: recipe for target 'frontend' failed
|
||||
make: *** [frontend] Error 2
|
27
netpfga/log/compile-2019-07-23-123028
Normal file
27
netpfga/log/compile-2019-07-23-123028
Normal file
|
@ -0,0 +1,27 @@
|
|||
+ date
|
||||
Die Jul 23 12:30:28 CEST 2019
|
||||
+ cd /home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4
|
||||
+ make
|
||||
make -C src/ clean
|
||||
make[1]: Entering directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/src'
|
||||
rm -f *.sdnet *.tbl .sdnet_switch_info.dat
|
||||
make[1]: Leaving directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/src'
|
||||
make -C testdata/ clean
|
||||
make[1]: Entering directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/testdata'
|
||||
rm -f *.pcap *.txt *.pyc *.axi config_writes.* *_reg_defines.py
|
||||
make[1]: Leaving directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/testdata'
|
||||
rm -rf nf_sume_sdnet_ip/
|
||||
rm -f
|
||||
rm -f sw/config_tables.c
|
||||
make -C src/
|
||||
make[1]: Entering directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/src'
|
||||
p4c-sdnet -o minip4.sdnet --sdnet_info .sdnet_switch_info.dat minip4_solution.p4
|
||||
minip4_solution.p4(59):syntax error, unexpected IDENTIFIER, expecting STATE
|
||||
digest_data
|
||||
^^^^^^^^^^^
|
||||
error: 1 errors encountered, aborting compilation
|
||||
Makefile:34: recipe for target 'all' failed
|
||||
make[1]: *** [all] Error 1
|
||||
make[1]: Leaving directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/src'
|
||||
Makefile:31: recipe for target 'frontend' failed
|
||||
make: *** [frontend] Error 2
|
59
netpfga/log/compile-2019-07-23-123335
Normal file
59
netpfga/log/compile-2019-07-23-123335
Normal file
|
@ -0,0 +1,59 @@
|
|||
+ date
|
||||
Die Jul 23 12:33:35 CEST 2019
|
||||
+ cd /home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4
|
||||
+ make
|
||||
make -C src/ clean
|
||||
make[1]: Entering directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/src'
|
||||
rm -f *.sdnet *.tbl .sdnet_switch_info.dat
|
||||
make[1]: Leaving directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/src'
|
||||
make -C testdata/ clean
|
||||
make[1]: Entering directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/testdata'
|
||||
rm -f *.pcap *.txt *.pyc *.axi config_writes.* *_reg_defines.py
|
||||
make[1]: Leaving directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/testdata'
|
||||
rm -rf nf_sume_sdnet_ip/
|
||||
rm -f
|
||||
rm -f sw/config_tables.c
|
||||
make -C src/
|
||||
make[1]: Entering directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/src'
|
||||
p4c-sdnet -o minip4.sdnet --sdnet_info .sdnet_switch_info.dat minip4_solution.p4
|
||||
headers.p4(86): error: Structure header ethernet_t does not have a field dstAddr
|
||||
header ethernet_t {
|
||||
^^^^^^^^^^
|
||||
minip4_solution.p4(78)
|
||||
EthAddr_t temp = hdr.ethernet.dstAddr;
|
||||
^^^^^^^
|
||||
headers.p4(86): error: Structure header ethernet_t does not have a field dstAddr
|
||||
header ethernet_t {
|
||||
^^^^^^^^^^
|
||||
minip4_solution.p4(79)
|
||||
hdr.ethernet.dstAddr = hdr.ethernet.srcAddr;
|
||||
^^^^^^^
|
||||
headers.p4(86): error: Structure header ethernet_t does not have a field srcAddr
|
||||
header ethernet_t {
|
||||
^^^^^^^^^^
|
||||
minip4_solution.p4(79)
|
||||
hdr.ethernet.dstAddr = hdr.ethernet.srcAddr;
|
||||
^^^^^^^
|
||||
headers.p4(86): error: Structure header ethernet_t does not have a field srcAddr
|
||||
header ethernet_t {
|
||||
^^^^^^^^^^
|
||||
minip4_solution.p4(80)
|
||||
hdr.ethernet.srcAddr = temp;
|
||||
^^^^^^^
|
||||
headers.p4(86): error: Structure header ethernet_t does not have a field dstAddr
|
||||
header ethernet_t {
|
||||
^^^^^^^^^^
|
||||
minip4_solution.p4(103)
|
||||
EthAddr_t temp = hdr.ethernet.dstAddr;
|
||||
^^^^^^^
|
||||
headers.p4(86): error: Structure header ethernet_t does not have a field dstAddr
|
||||
header ethernet_t {
|
||||
^^^^^^^^^^
|
||||
minip4_solution.p4(108)
|
||||
hdr.ethernet.dstAddr: exact;
|
||||
^^^^^^^
|
||||
Makefile:34: recipe for target 'all' failed
|
||||
make[1]: *** [all] Error 1
|
||||
make[1]: Leaving directory '/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/src'
|
||||
Makefile:31: recipe for target 'frontend' failed
|
||||
make: *** [frontend] Error 2
|
9001
netpfga/log/compile-2019-07-23-123517
Normal file
9001
netpfga/log/compile-2019-07-23-123517
Normal file
File diff suppressed because it is too large
Load diff
27
netpfga/log/compile-2019-07-23-150108
Normal file
27
netpfga/log/compile-2019-07-23-150108
Normal file
File diff suppressed because one or more lines are too long
9776
netpfga/log/compile-2019-07-23-150245
Normal file
9776
netpfga/log/compile-2019-07-23-150245
Normal file
File diff suppressed because it is too large
Load diff
72
netpfga/log/step5-2019-07-23-123531
Normal file
72
netpfga/log/step5-2019-07-23-123531
Normal file
|
@ -0,0 +1,72 @@
|
|||
+ find -name '*.v' -o -name '*.vp' -o -name '*.sv'
|
||||
+ xargs -I % /opt/Xilinx/Vivado/2018.2/bin/xvlog -sv %
|
||||
INFO: [VRFC 10-2263] Analyzing SystemVerilog file "/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/nf_sume_sdnet_ip/SimpleSumeSwitch/TopPipe_lvl_0_t.HDL/TopPipe_lvl_0_t.v" into library work
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t
|
||||
INFO: [VRFC 10-2263] Analyzing SystemVerilog file "/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/nf_sume_sdnet_ip/SimpleSumeSwitch/TopPipe_lvl_0_t.HDL/TopPipe_lvl_0_t.vp" into library work
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_Engine
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_EngineStage_0
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_lookup_table_sec
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_lookup_table_sec_compute_control_nextSection
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_lookup_table_sec_compute_control_increment_offset
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_EngineStage_1
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_do_nothing_0_sec
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_do_nothing_0_sec_compute_control_nextSection
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_do_nothing_0_sec_compute_control_increment_offset
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_send_to_all_ports_0_sec
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_send_to_all_ports_0_sec_compute_sume_metadata_dst_port
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_send_to_all_ports_0_sec_compute_control_nextSection
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_send_to_all_ports_0_sec_compute_control_increment_offset
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_send_to_port1_0_sec
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_send_to_port1_0_sec_compute_sume_metadata_dst_port
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_send_to_port1_0_sec_compute_control_nextSection
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_send_to_port1_0_sec_compute_control_increment_offset
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_swap_eth_addresses_0_sec
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_swap_eth_addresses_0_sec_compute_TopPipe_fl_temp
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_swap_eth_addresses_0_sec_compute_hdr_ethernet_dst_addr
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_swap_eth_addresses_0_sec_compute_hdr_ethernet_src_addr
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_swap_eth_addresses_0_sec_compute_sume_metadata_dst_port
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_swap_eth_addresses_0_sec_compute_control_nextSection
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_swap_eth_addresses_0_sec_compute_control_increment_offset
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_EngineStage_2
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_sink
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_sink_compute_control_nextSection
|
||||
INFO: [VRFC 10-311] analyzing module TopPipe_lvl_0_t_sink_compute_control_increment_offset
|
||||
INFO: [VRFC 10-2263] Analyzing SystemVerilog file "/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/nf_sume_sdnet_ip/SimpleSumeSwitch/S_SYNCERs.HDL/S_SYNCER_for_S_SYNCER_for_S_SYNCER_for_TopDeparser.v" into library work
|
||||
INFO: [VRFC 10-311] analyzing module S_SYNCER_for_S_SYNCER_for_S_SYNCER_for_TopDeparser
|
||||
INFO: [VRFC 10-2263] Analyzing SystemVerilog file "/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/nf_sume_sdnet_ip/SimpleSumeSwitch/S_SYNCERs.HDL/S_SYNCER_for__OUT_.v" into library work
|
||||
INFO: [VRFC 10-311] analyzing module S_SYNCER_for__OUT_
|
||||
INFO: [VRFC 10-2263] Analyzing SystemVerilog file "/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/nf_sume_sdnet_ip/SimpleSumeSwitch/S_SYNCERs.HDL/S_SYNCER_for_S_SYNCER_for_TopDeparser.v" into library work
|
||||
INFO: [VRFC 10-311] analyzing module S_SYNCER_for_S_SYNCER_for_TopDeparser
|
||||
INFO: [VRFC 10-2263] Analyzing SystemVerilog file "/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/nf_sume_sdnet_ip/SimpleSumeSwitch/S_SYNCERs.HDL/xpm_fifo.sv" into library work
|
||||
INFO: [VRFC 10-311] analyzing module xpm_fifo_base
|
||||
INFO: [VRFC 10-311] analyzing module xpm_fifo_rst
|
||||
INFO: [VRFC 10-311] analyzing module xpm_counter_updn
|
||||
INFO: [VRFC 10-311] analyzing module xpm_fifo_reg_vec
|
||||
INFO: [VRFC 10-311] analyzing module xpm_fifo_reg_bit
|
||||
INFO: [VRFC 10-311] analyzing module xpm_reg_pipe_bit
|
||||
INFO: [VRFC 10-311] analyzing module xpm_fifo_sync
|
||||
INFO: [VRFC 10-311] analyzing module xpm_fifo_async
|
||||
INFO: [VRFC 10-311] analyzing module xpm_fifo_axis
|
||||
INFO: [VRFC 10-2263] Analyzing SystemVerilog file "/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/nf_sume_sdnet_ip/SimpleSumeSwitch/S_SYNCERs.HDL/xpm_memory.sv" into library work
|
||||
INFO: [VRFC 10-311] analyzing module xpm_memory_base
|
||||
INFO: [VRFC 10-311] analyzing module asym_bwe_bb
|
||||
INFO: [VRFC 10-311] analyzing module xpm_memory_dpdistram
|
||||
INFO: [VRFC 10-311] analyzing module xpm_memory_dprom
|
||||
INFO: [VRFC 10-311] analyzing module xpm_memory_sdpram
|
||||
INFO: [VRFC 10-311] analyzing module xpm_memory_spram
|
||||
INFO: [VRFC 10-311] analyzing module xpm_memory_sprom
|
||||
INFO: [VRFC 10-311] analyzing module xpm_memory_tdpram
|
||||
INFO: [VRFC 10-2263] Analyzing SystemVerilog file "/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/nf_sume_sdnet_ip/SimpleSumeSwitch/S_SYNCERs.HDL/xpm_cdc.sv" into library work
|
||||
INFO: [VRFC 10-311] analyzing module xpm_cdc_single
|
||||
INFO: [VRFC 10-311] analyzing module xpm_cdc_gray
|
||||
INFO: [VRFC 10-311] analyzing module xpm_cdc_handshake
|
||||
INFO: [VRFC 10-311] analyzing module xpm_cdc_pulse
|
||||
INFO: [VRFC 10-311] analyzing module xpm_cdc_array_single
|
||||
INFO: [VRFC 10-311] analyzing module xpm_cdc_sync_rst
|
||||
INFO: [VRFC 10-311] analyzing module xpm_cdc_async_rst
|
||||
INFO: [VRFC 10-2263] Analyzing SystemVerilog file "/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/nf_sume_sdnet_ip/SimpleSumeSwitch/S_SYNCERs.HDL/glbl.v" into library work
|
||||
INFO: [VRFC 10-311] analyzing module glbl
|
||||
INFO: [VRFC 10-2263] Analyzing SystemVerilog file "/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/nf_sume_sdnet_ip/SimpleSumeSwitch/S_SYNCERs.HDL/S_SYNCER_for_TopDeparser.v" into library work
|
||||
INFO: [VRFC 10-311] analyzing module S_SYNCER_for_TopDeparser
|
||||
INFO: [VRFC 10-2263] Analyzing SystemVerilog file "/home/nico/projects/P4-NetFPGA/contrib-projects/sume-sdnet-switch/projects/minip4/nf_sume_sdnet_ip/SimpleSumeSwitch/S_SYNCERs.HDL/S_SYNCER_for_TopParser.v" into library work
|
||||
INFO: [VRFC 10-311] analyzing module S_SYNCER_for_TopParser
|
Loading…
Reference in a new issue